Lehrstuhl für Elektronische Bauelemente


close-button

Types of publications

Journal article
Book chapter / Article in edited volumes
Authored book
Translation
Thesis
Edited Volume
Conference contribution
Other publication type
Unpublished / Preprint

Publication year

From
To

Abstract

Journal

Wafer scale characterization of interface state densities without test structures by photocurrent analysis (2005) Rommel M, Groß M, Frey L, Bauer A, Ryssel H Conference contribution, Conference Contribution Triple trench gate IGBTs (2005) Berberich SE, Bauer A, Frey L, Ryssel H Conference contribution, Conference Contribution Thin HfxTiySixO films with varying Hf to Ti contents as candidates for high-k dielectrics (2005) Bauer A, Paskaleva A, Lemberger M, Frey L, Ryssel H Conference contribution, Conference Contribution High-k Hafnium Silicate Films on Silicon and Germanium Wafers by MOCVD Using a Single-Source Precursor (2005) Lemberger M, Schön F, Dirnecker T, Jank M, Paskaleva A, Bauer A, Frey L, Ryssel H Book chapter / Article in edited volumes THz-photomixer based on quasi-ballistic transport (2005) Döhler G, Renner F, Klar O, Eckardt M, Schwanhäußer A, Malzer S, Driscoll D, et al. Journal article, Original article Ion Sputtering at Grazing Incidence for SIMS-Analysis (2005) Ryssel H, Ullrich M, Burenkov A Journal article Photoluminescence study of in-situ rare earth doped PVT-grown SiC single crystals (2005) Schmitt H, Müller R, Maier M, Winnacker A, Wellmann P Journal article, Original article High Al-doping of SiC using a modified PVT (M-PVT) growth set-up (2005) Müller R, Künecke U, Weingärtner R, Schmitt H, Desperrier P, Wellmann P Journal article, Original article Annealing of Aluminium Implanted 4H-SiC: Comparison of Furnace and Lamp Annealing (2005) Rambach M, Bauer A, Frey L, Friedrichs P, Ryssel H Journal article Implantation and Annealing of Aluminum in 4H Silicon Carbide (2005) Rambach M, Schmid F, Krieger M, Frey L, Bauer A, Pensl G, Ryssel H Journal article